Tests 썸네일형 리스트형 UVM Tests (테스트 시나리오) uvm_tests는 가장 최상단에 위치한 class로, 여기서부터 다른것들을 호출한다고 보면된다. 아래와 같이 basic_test 라는 것을 한번 보도록 하자, class basic_test extends uvm_test; `uvm_component_utils(basic_test) virtual interface apb_if apb_vif; function new (string name, uvm_component parent); super.new(name, parent); if (!uvm_config_db #(virtual interface apb_if)::get(null, "*", "apb_vif", apv_vif)) $fatal("Failed to get apb if"); endfunction end.. 더보기 이전 1 다음